aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1475/e.vhdl
blob: e4e6497af9a4ea1a50bd4b4dbb5a24a78c357817 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.numeric_std.all;

entity e is
end entity;

architecture a of e is
    signal s : unsigned(31 downto 0);
begin
    assert s = -3;
end architecture;