aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/tb_leftmost03.vhdl
blob: 132528ff913d3a6ad92d3b115b62e218eaa31ef9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity tb_leftmost03 is
end tb_leftmost03;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_leftmost03 is
  signal c : unsigned (0 to 8);
  signal rc : integer;
begin
  dut_c: entity work.leftmost03
    port map (c, rc);

  process
  begin
    c <= b"0_0000_0000";

    wait for 1 ns;

    assert rc = -1 severity failure;

    wait;
  end process;
end behav;