aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1460/division_float.vhdl
blob: 84c2951f95b357f63848b9f7b5774ad6e01b4388 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.float_pkg.all;

entity division_float is 
port(
i0 : in  float (7 downto -6);
i1 : in  float (7 downto -6);
p0 : out float (7 downto -6));
end division_float ;

architecture arch1 of division_float is 

begin
 p0 <= i0/i1 ;
end arch1;