aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1454/tb_dummy_top2.vhdl
blob: 2d597fc77b64d64f1c6785c1d14dceda0973e6ea (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity tb_dummy_top2 is
end tb_dummy_top2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dummy_top2 is
  signal clk : std_logic;
  signal d : std_logic;
begin
  dut: entity work.dummy_top2
    port map (clk, d);

  process
  begin
    for i in 1 to 4 loop
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end loop;
    wait;
  end process;
end behav;