aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1454/tb_dummy_top.vhdl
blob: 8aff91f8f52136c405295fb8051cfa6195385a80 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
entity tb_dummy_top is
end tb_dummy_top;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dummy_top is
  signal clk : std_logic;
  signal d : std_logic;
begin
  dut: entity work.dummy_top
    port map (clk, d);

  process
  begin
    for i in 1 to 4 loop
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end loop;
    wait;
  end process;
end behav;