aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/repro5b.vhdl
blob: 299b3ccc43cabbb794c4844cac666f6319b1c4c0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro5b is
  port (a : out std_logic;
        b : std_logic_vector(7 downto 0));
end;

architecture behav of repro5b is
  signal s : std_logic_vector(1 to 8);
begin
  s <= b;
  a <= '1' when s /= x"00" else '0';

  s (2 to 4) <= "000";
end behav;