aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/repro5.vhdl
blob: 4363e61039285e6f1186c00f9c6f78772c7acffc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro5 is
  port (a : out std_logic;
        b : std_logic_vector(7 downto 0));
end;

architecture behav of repro5 is
  signal s : std_logic_vector(7 downto 0);
begin
  s <= b;
  a <= '1' when s /= x"00" else '0';

  s (5 downto 4) <= "00";
end behav;