aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/repro3.vhdl
blob: 4a9c092c5486dbf1499a6fa1a27f7d62557db04b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro3 is
  port (a : out std_logic;
        b : std_logic_vector(7 downto 0));
end;

architecture behav of repro3 is
  signal s : std_logic_vector(7 downto 0);
begin
  s <= b;
  a <= '1' when s /= x"00" else '0';

  s (5) <= '0';
end behav;