aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1428/repro2.vhdl
blob: 21c15b1c949957fd42c39d853ee342df3d2cf10e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity repro2 is
  port (a : out std_logic;
        b : std_logic_vector(7 downto 0));
end;

architecture behav of repro2 is
  signal s : std_logic_vector(7 downto 0);
begin
  s <= b;
  a <= '1' when s /= x"00" else '0';

  s (0) <= '0';
end behav;