aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1421/repro2.vhdl
blob: 6feec8576e1fa11b416faf88a5cba9d053fb8b5e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity repro2 is
  port (clk : bit;
        rst : bit;
        v : bit_vector (1 downto 0);
        res : out bit_vector(1 downto 0));
end;

architecture behav of repro2 is
  type myrec is record
    b : bit;
    c : bit;
  end record;
  signal s, sin : myrec;
begin
  sin <= (v(1), v(0));

  process (clk)
  begin
    if clk'event and clk = '1' then
      s <= sin;
    end if;
    if rst = '0' then
      s.c <= '0';
    end if;
  end process;

  res <= (s.c, s.b);
end behav;