aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1407/fixed_point_example.vhdl
blob: 9ffb0782164b358990326a42b1f1394c8947227c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.fixed_pkg.all;
  use ieee.fixed_float_types.all;

entity fixed_point_example is
  port (
    data_in  : in std_logic_vector(7 downto 0)
  );
end fixed_point_example;

architecture behavioral of fixed_point_example is
  signal data_tmp : sfixed(5 downto -4);
begin
  data_tmp <= resize(
    to_sfixed(data_in, 3, -4),
    data_tmp
  );
end behavioral;