aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1342/test_unop.vhdl
blob: a637eb1de6c9ea13e91fc088959258528a052c73 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity test_unop is
    Port (vec_in: in STD_LOGIC_VECTOR(31 downto 0);
         reduce_out_and: out STD_LOGIC;
         reduce_out_nand: out STD_LOGIC;
         reduce_out_or: out STD_LOGIC;
         reduce_out_nor: out STD_LOGIC;
         reduce_out_xor: out STD_LOGIC;
         reduce_out_xnor: out STD_LOGIC);
end entity;

architecture Behavioral of test_unop is
begin
    process(vec_in) is
    begin
    reduce_out_and <= and vec_in;
    reduce_out_nand <= nand vec_in;
    reduce_out_or <= or vec_in;
    reduce_out_nor <= nor vec_in;
    reduce_out_xor <= xor vec_in;
    reduce_out_xnor <= xnor vec_in;
    end process;
end Behavioral;