aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1325/tb_rotate_testcase.vhdl
blob: 90f6ef91093927d07496fc89746325a4eb638220 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity tb_rotate_testcase is
end tb_rotate_testcase;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_Std.all;

architecture behav of tb_rotate_testcase is
  signal in_vec:  UNSIGNED(31 downto 0);
  signal out_vecl: UNSIGNED(31 downto 0);
  signal out_vecr:  UNSIGNED(31 downto 0);
begin
  dut: entity work.rotate_testcase
    port map (in_vec, out_Vecl, out_vecr);

  process
  begin
    in_vec <= x"1234_abcd";
    wait for 1 ns;
--    report to_hstring(out_vecr);
    assert out_vecl = x"2469579a" severity failure;
    assert out_vecr = x"891a55e6" severity failure;
    wait;
  end process;
end behav;