aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1324/pkg.vhdl
blob: 956882663d2a08578249c2b4094916ed943a777a (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

package pkg is
  type bus_t is record
    data  : std_logic_vector;
    valid : std_logic;
  end record;

end package pkg;