aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1324/foo.vhdl
blob: 84c7698f6dd79872a7f101dbabd3c6d6a3ca1e2e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pkg.all;

entity foo is
  port (
    bus_in : in bus_t(data(7 downto 0));
    bus_out : out bus_t(data(7 downto 0))
  );
end foo;

architecture foo of foo is

begin

  bus_out <= bus_in;

end foo;