aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1316/issue.vhdl
blob: a76e5b95f455274c78e3dc00700e4d52b1370505 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity issue is
end issue;

architecture beh of issue is

    procedure foo is
        variable cnt : integer;
    begin
        cnt := cnt - 1;
    end procedure;

begin
    foo;
end architecture beh;