aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1313/issue.vhdl
blob: 7c5a7a9385820d4986cfd1e696eb48c5ccce003c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
end issue;

architecture beh of issue is
begin
    assert "+"(unsigned'("0001"), unsigned'("0001")) = unsigned'("0010");
    assert "-"(unsigned'("0001"), unsigned'("0001")) = unsigned'("0000");
    assert "="(unsigned'("0001"), unsigned'("0001"));

    assert "+"(signed'("0001"), signed'("0001")) = signed'("0010");
    assert "-"(signed'("0001"), signed'("0001")) = signed'("0000");
    assert "="(signed'("0001"), signed'("0001"));
end architecture beh;