aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1311/issue.vhdl
blob: 41575a46b2bed045957499a5fceae28ad4e86a1e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port (foo : out boolean);
end issue;

architecture beh of issue is
    signal bar : std_logic_vector (7 downto 0);
begin
    foo <= bar (0 downto 1) = bar (1 downto 2);
end architecture beh;