aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1310/issue2.vhdl
blob: 9d583d524a584862f5bd979feb6ed8132e8e7e71 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue2 is
end issue2;

architecture beh of issue2 is
begin
    assert (unsigned'("1111") >  unsigned'("0111"));
    assert (unsigned'("1111") >= unsigned'("0111"));
    assert (unsigned'("0111") <  unsigned'("1111"));
    assert (unsigned'("0111") <= unsigned'("1111"));

    assert (signed'("0111") >  signed'("1111"));
    assert (signed'("0111") >= signed'("1111"));
    assert (signed'("1111") <  signed'("0111"));
    assert (signed'("1111") <= signed'("0111"));

    assert signed'("1111") = -1;
end architecture beh;