aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1282/issue.vhdl
blob: 48dfaa8cf083e84b48a3cb8462bfab427a2e6657 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port (foo : in  std_logic_vector (3 downto 0);
          bar : out std_logic_vector (7 downto 0));
end issue;

architecture beh of issue is
begin
    bar <= ('0' & foo, others=>'0');
end architecture beh;