aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1273/vassert.v
blob: 7d8afb61d06637da42e18d69c5640d6d63b8f2f5 (plain)
1
2
3
4
5
6
module vassert(input wire clk, input wire [7:0] d, output wire q);
  always @(posedge clk)  begin
    assert(d != 8'ha5);
    q <= ^d;
  end
endmodule