aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1273/tb_assert2.vhdl
blob: 2f34f8fd09a81f6309628ed38b8a7db1ab129d1b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity tb_assert2 is
end tb_assert2;

architecture behav of tb_assert2 is
  signal v, res : natural;
  signal en : boolean := false;
begin
  dut: entity work.assert2
    port map (v, en, res);

  process
  begin
    en <= True;
    v <= 2;
    wait for 1 ns;
    assert res = 3 severity failure;

    v <= 11;
    en <= False;
    wait for 1 ns;
    assert res = 0 severity failure;

--    wait for 10 ns;
--    en <= True;
    wait;
  end process;
end behav;