blob: 99075f4d7c8dbf8296d06f1b42cda8acd40c98dc (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity issue is
port
(srl_usn : out unsigned (8-1 downto 0);
sll_usn : out unsigned (8-1 downto 0);
srl_sgn : out signed (8-1 downto 0);
sll_sgn : out signed (8-1 downto 0));
end issue;
architecture beh of issue is
begin
srl_usn <= unsigned'(b"0000_0000") srl 1; -- work
sll_usn <= unsigned'(b"0000_0000") sll 1; -- fail
srl_sgn <= signed'(b"0000_0000") srl 1; -- fail
sll_sgn <= signed'(b"0000_0000") sll 1; -- fail
end architecture beh;
|