aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1258/tb_ent.vhdl
blob: 1d9a2db9251574e5239145be3cfc13680faaa36c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal s : std_ulogic;
  signal din : std_ulogic_vector(15 downto 0);
  signal dout : std_ulogic;
begin
  dut: entity work.ent
    port map (s, din, dout);

  process
  begin
    s <= '1';
    din <= x"00_00";
    wait for 1 ns;
    assert dout = '0' severity failure;

    din <= x"04_00";
    wait for 1 ns;
    assert dout = '1' severity failure;

    din <= x"10_40";
    wait for 1 ns;
    assert dout = '0' severity failure;

    din <= x"80_01";
    wait for 1 ns;
    assert dout = '0' severity failure;

    din <= x"80_00";
    wait for 1 ns;
    assert dout = '1' severity failure;

    s <= '0';
    din <= x"80_00";
    wait for 1 ns;
    assert dout = '0' severity failure;

    wait;
  end process;
end behav;