aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1243/issue.vhdl
blob: afa649b186743aef3cf92b11e1c09ab8b5983373 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity issue is
end issue;

architecture beh of issue is
    signal foo  : std_logic_vector (10 downto 0) := (others=>'0');
    signal bar1 : std_logic_vector (10 downto 0) := (others=>'0');
    signal bar2 : std_logic_vector (10 downto 0) := (others=>'0');
begin
    bar1 <= foo or x"40";
    bar2 <= foo or "1";
end architecture beh;