aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1240/issue2.vhdl
blob: 7e9f9032aa018d1a2eae7e36e0d57f0f86e2291f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue2 is
    port (foobar : out signed (3 downto 0));
end issue2;

architecture beh of issue2 is
    subtype my_type is natural range 0 to 1; -- width = 1
begin
    foobar <= to_signed(my_type'(-15), foobar'length);
end architecture;