aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1237/mwe.vhdl
blob: 0121b3a098eb2ed61e216bc23a59e5c6d3328a68 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity MWE is
end MWE;

architecture test of MWE is
  constant P       : integer := 1;
  signal   my_sig  : std_logic_vector(P downto 0);
begin
  block2: if P = 2 generate
    my_sig(2) <= '1';
  end generate;

  block1: if P = 1 generate
    my_sig(1) <= '1';
  end generate;

  -- even this block alone breaks during analysis
  blockf: if false generate
    my_sig(2) <= '1';
  end generate;
end architecture;