aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1221/top.vhdl
blob: ef9dd43122fc17dfaac2cd656c48632cbb3bb986 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

entity top is
	port(
		a : in unsigned(7 downto 0);
		q : out unsigned(7 downto 0)
	);
end entity;

architecture arch of top is
begin
	q <= 0 - a;
end architecture;