aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1217/top.vhdl
blob: 98305667cb95fc2106a80b8098af240bb73134ec (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;

entity top is
port (p, q : out std_logic);
end entity;

architecture arch of top is
	type subrecord_r is record
		c : std_logic;
		d : std_logic;
	end record;
	type record_r is record
		s : subrecord_r;
		a : std_logic;
		b : std_logic;
	end record;
	signal s : subrecord_r;
	signal r : record_r;
begin
	s <= ('0', '0');
	r <= (s, '0', '1');
	p <= r.a;
	q <= r.b;
end architecture;