aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1217/tb_top.vhdl
blob: df2e7e8a1e14cdb06c917ff869d2289689c954fc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity tb_top is
end tb_top;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_top is
  signal p : std_logic;
  signal q : std_logic;
begin
  dut: entity work.top
    port map (p, q);

  process
  begin
    wait for 1 ns;
    assert p = '0' severity failure;
    assert q = '1' severity failure;
    wait;
  end process;
end behav;