aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1211/repro1.vhdl
blob: 5e2f1bf41cbee205b71cd8ee8915574844fdf645 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity repro1 is
	port (
		Clock   : in std_ulogic;
		Reset   : in std_ulogic;
		Sig_in  : in std_ulogic;
		Sig_out : out std_ulogic
	);
end entity;

architecture rtl of repro1 is
begin
  reg: process(Clock, Reset)
    variable pl_regs : std_ulogic;
  begin
    if Reset = '1' then
      pl_regs := '0';
    elsif rising_edge(Clock) then
      pl_regs := Sig_in;
    end if;

    Sig_out <= pl_regs;
  end process;
end architecture;