aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1182/issue.vhdl
blob: 98b174e203a2e400d8a24205bc93dc8509a26919 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    generic (constant N : integer := 3);
    port (foo : in  std_logic;
          bar : out std_logic_vector(7 downto 0));
end issue;

architecture beh of issue is
begin
    bar <= (N=>foo, others=>'0');
end architecture;