aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1178/issue1.vhdl
blob: fcff6f370b3b04324a35516d9788a55f1a3c6073 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity issue1 is
    port (foobar : in  std_logic_vector(3 downto 0);
          foo    : out std_logic_vector(1 downto 0);
          bar    : out std_logic_vector(1 downto 0));
end issue1;

architecture behav of issue1 is
begin
    (foo, bar) <= foobar;
end architecture;