aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue2.vhdl
blob: 9808a857aee659c2834b04b83897243d9d1628ce (plain)
1
2
3
4
5
6
7
8
9
10
entity issue2 is
    port (foo  : in  bit_vector(32-1 downto 0);
          bar  : out bit);
end issue2;

architecture rtl of issue2 is
        alias a_bar is foo(foo'high);
begin
    bar <= a_bar;
end architecture;