aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1175/issue.vhdl
blob: f729452c76600330a92f2d73bf600493f703e2ef (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity issue is
    port (foo  : in  std_logic_vector(32-1 downto 0);
          bar  : out std_logic);
end issue;

architecture rtl of issue is
        alias a_bar is foo(foo'high);
begin
    bar <= a_bar;
end architecture;