aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1168/bug2.vhdl
blob: c5085ccc7acd483ebdf289932125bdb508bfd91b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug2 is
	generic(
		LEN : positive := 32
	);
	port(
		input_a :  in unsigned(LEN-1 downto 0);
		input_b :  in unsigned(LEN-1 downto 0);
		output  : out unsigned(LEN-1 downto 0)
	);
end bug2;

architecture behav of bug2 is
begin
	output <= maximum(input_a, input_b);
end architecture;