aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1166/tb_ent.vhdl
blob: 016f6b685eebf6995ebfa29dc65aa8da4debaf02 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
library ieee;
use ieee.std_logic_1164.all;

entity tb_ent is
end;

architecture a of tb_ent is
    signal a, enable, d_in, d_out : std_logic;
begin
    uut: entity work.ent
        port map (
            a => a,
            enable => enable,
            d_in => d_in,
            d_out => d_out
        );

    process
    begin
        a <= '0';
        enable <= '0';

        wait for 10 ns;
        assert d_out = '0';

        a <= '1';

        wait for 10 ns;
        assert d_out = '1' severity failure;

        enable <= '1';
        a <= 'Z';
        d_in <= '0';

        wait for 10 ns;
        assert a = '0' severity failure;

        d_in <= '1';

        wait for 10 ns;
        assert a = '1' severity failure;

        wait;
    end process;
end;