aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1164/comp.vhdl
blob: 676b960a23100337781aed59d4c087e3e3c9e28e (plain)
1
2
3
4
5
6
7
8
library IEEE;
use IEEE.std_logic_1164.all;

entity comp is
  port (		
    data : in std_logic_vector
    );
end comp;