aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1144/issue.vhdl
blob: ca9721b7524d962e8357dfed66494d108dfe4b9c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity issue is
    port (i_data     : in  std_logic_vector(8 downto 0);
          o_data     : out std_logic_vector(3 downto 0);
          clock      : in  std_logic);
end issue;

architecture rtl of issue is
    alias i_hi is i_data(3 downto 0);
begin
        o_data <= i_hi;
end architecture;