aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1132/bar.vhdl
blob: 17aa50732fdfbf9c3d32a54345d6ba112a8e14a0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity bar is
  port (
    clk      : in std_logic;
    input    : in unsigned(7 downto 0);
    output_a : out unsigned(7 downto 0);
    output_b : out unsigned(7 downto 0)
  );
end bar;

architecture bar of bar is

begin

  output_a <= 10 + input;
  -- This works as expected
  output_b <= input + 10;

end bar;