aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1109/ent.vhdl
blob: e4f8ef216df18bf4b695d18d33360b5bea07c812 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent is
	generic (
		INT : integer := -42;
		NAT : natural := 21
	);
	port (
		slv_a : in std_logic_vector(7 downto 0);
		slv_b : in std_logic_vector(7 downto 0);

		slv_eq : out std_logic;
		slv_ne : out std_logic;

		sl_a : in std_logic;
		sl_b : in std_logic;

		sl_lt : out std_logic;
		sl_le : out std_logic;
		sl_eq : out std_logic;
		sl_ne : out std_logic;
		sl_ge : out std_logic;
		sl_gt : out std_logic;

		uns_a : in unsigned(7 downto 0);
		uns_b : in unsigned(7 downto 0);

		uns_lt : out std_logic;
		uns_le : out std_logic;
		uns_eq : out std_logic;
		uns_ne : out std_logic;
		uns_ge : out std_logic;
		uns_gt : out std_logic;

		uns_int_lt : out std_logic;
		uns_int_le : out std_logic;
		uns_int_eq : out std_logic;
		uns_int_ne : out std_logic;
		uns_int_ge : out std_logic;
		uns_int_gt : out std_logic;

		int_uns_lt : out std_logic;
		int_uns_le : out std_logic;
		int_uns_eq : out std_logic;
		int_uns_ne : out std_logic;
		int_uns_ge : out std_logic;
		int_uns_gt : out std_logic;

		sgn_a : in signed(7 downto 0);
		sgn_b : in signed(7 downto 0);

		sgn_lt : out std_logic;
		sgn_le : out std_logic;
		sgn_eq : out std_logic;
		sgn_ne : out std_logic;
		sgn_ge : out std_logic;
		sgn_gt : out std_logic;

		sgn_nat_lt : out std_logic;
		sgn_nat_le : out std_logic;
		sgn_nat_eq : out std_logic;
		sgn_nat_ne : out std_logic;
		sgn_nat_ge : out std_logic;
		sgn_nat_gt : out std_logic;

		nat_sgn_lt : out std_logic;
		nat_sgn_le : out std_logic;
		nat_sgn_eq : out std_logic;
		nat_sgn_ne : out std_logic;
		nat_sgn_ge : out std_logic;
		nat_sgn_gt : out std_logic
	);
end;

architecture a of ent is
begin
	slv_eq <= slv_a ?=  slv_b;
	slv_ne <= slv_a ?/= slv_b;

	sl_lt <= sl_a ?<  sl_b;
	sl_le <= sl_a ?<= sl_b;
	sl_eq <= sl_a ?=  sl_b;
	sl_ne <= sl_a ?/= sl_b;
	sl_ge <= sl_a ?>= sl_b;
	sl_gt <= sl_a ?>  sl_b;

	uns_lt <= uns_a ?<  uns_b;
	uns_le <= uns_a ?<= uns_b;
	uns_eq <= uns_a ?=  uns_b;
	uns_ne <= uns_a ?/= uns_b;
	uns_ge <= uns_a ?>= uns_b;
	uns_gt <= uns_a ?>  uns_b;

	uns_int_lt <= uns_a ?<  NAT;
	uns_int_le <= uns_a ?<= NAT;
	uns_int_eq <= uns_a ?=  NAT;
	uns_int_ne <= uns_a ?/= NAT;
	uns_int_ge <= uns_a ?>= NAT;
	uns_int_gt <= uns_a ?>  NAT;

	int_uns_lt <= NAT ?<  uns_b;
	int_uns_le <= NAT ?<= uns_b;
	int_uns_eq <= NAT ?=  uns_b;
	int_uns_ne <= NAT ?/= uns_b;
	int_uns_ge <= NAT ?>= uns_b;
	int_uns_gt <= NAT ?>  uns_b;

	sgn_lt <= sgn_a ?<  sgn_b;
	sgn_le <= sgn_a ?<= sgn_b;
	sgn_eq <= sgn_a ?=  sgn_b;
	sgn_ne <= sgn_a ?/= sgn_b;
	sgn_ge <= sgn_a ?>= sgn_b;
	sgn_gt <= sgn_a ?>  sgn_b;

	sgn_nat_lt <= sgn_a ?<  INT;
	sgn_nat_le <= sgn_a ?<= INT;
	sgn_nat_eq <= sgn_a ?=  INT;
	sgn_nat_ne <= sgn_a ?/= INT;
	sgn_nat_ge <= sgn_a ?>= INT;
	sgn_nat_gt <= sgn_a ?>  INT;

	nat_sgn_lt <= INT ?<  sgn_b;
	nat_sgn_le <= INT ?<= sgn_b;
	nat_sgn_eq <= INT ?=  sgn_b;
	nat_sgn_ne <= INT ?/= sgn_b;
	nat_sgn_ge <= INT ?>= sgn_b;
	nat_sgn_gt <= INT ?>  sgn_b;
end;