aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1107/unconnected.vhdl
blob: 0c7886a24d72002b56af83f9acd3d22807892b30 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;

use ieee.std_logic_1164.all;

entity unconnected is
  port (
    output: out std_logic
    );
end entity;

architecture arch of unconnected is
  signal no_value: std_logic;
begin
  output <= no_value;
end;