aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1100/test.vhdl
blob: 313b7d23844476a02d459038ba6c4b5aa28583e7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
end entity test;

architecture behaviour of test is
    type arecordtype is record
        valid : std_ulogic;
        write_data : std_ulogic_vector(63 downto 0);
    end record;

    signal a : arecordtype;

    subtype byte_index_t is unsigned(2 downto 0);
    type permutation_t is array(0 to 7) of byte_index_t;
    signal perm : permutation_t;
    signal data_permuted : std_ulogic_vector(63 downto 0);
begin
    writeback_1: process(all)
        variable j : integer;
    begin
        for i in 0 to 7 loop
            j := to_integer(perm(i)) * 8;
            data_permuted(i * 8 + 7 downto i * 8) <= a.write_data(j + 7 downto j);
        end loop;
    end process;
end;