aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1099/top.vhdl
blob: 99fa724e5f8bb5e0f949bc85e73e43c2c1eb707b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
use ieee.std_logic_1164.all;

entity has_zero_width_port is
  port(
    width_one_port: out std_logic_vector(0 downto 0);
    width_zero_port: out std_logic_vector(-1 downto 0)
    );
end entity;

architecture arch of has_zero_width_port is
begin
  width_one_port <= (others => '0');
  width_zero_port <= (others => '0');
end architecture;
    
library ieee;
use ieee.std_logic_1164.all;

entity top is
  port(
    width_one_port: out std_logic_vector(0 downto 0);
    width_zero_port: out std_logic_vector(-1 downto 0)
    );
end entity;

architecture arch of top is
begin

  wrapped: entity work.has_zero_width_port
    port map (
      width_one_port => width_one_port,
      width_zero_port => width_zero_port
      );

end architecture;