aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1064/testrec.vhdl
blob: 4ef8831319c561d44fe90ea7b4824003571c3fa2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;

entity testrec is
  port (i : std_ulogic;
        o : out std_ulogic);
end entity testrec;

architecture behaviour of testrec is
  type rec is record
    v : std_ulogic;
    t : std_ulogic;
  end record;

  procedure zot(e: inout rec) is
  begin
    e.v := '0';
  end;

begin
  execute1_1: process(i)
    variable v : rec;
  begin
    v.v := i;
    v.t := i;
    zot(v);
    o <= v.v;
  end process;
end architecture behaviour;