aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1064/tb_testrec.vhdl
blob: 04bf98b88efc11db8458b4da4bb3f2d83243081c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_testrec is
end tb_testrec;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_testrec is
  signal a : std_logic;
  signal b : std_logic;
begin
  dut: entity work.testrec
    port map (a, b);

  process
  begin
    wait for 1 ns;
    assert b = '0' severity failure;
    wait;
  end process;
end behav;