aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1064/tb_test.vhdl
blob: 1910605df472f43ba020e89bf9dbf133c7dfd8f9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_test is
end tb_test;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_test is
  signal a : std_logic;
  signal b : std_logic;
begin
  dut: entity work.test
    port map (a, b);

  process
  begin
    wait for 1 ns;
    assert b = '0' severity failure;
    wait;
  end process;
end behav;