aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1058/ent.vhdl
blob: b636b478039b6dd636efcff8233c32c2f4ba799a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity ent is
	port (
		clk : in std_logic;
		o   : out std_logic_vector(31 downto 0)
	);
end ent;

architecture a of ent is
begin
	process(clk)
		variable var : std_logic_vector(31 downto 0);
	begin
		if rising_edge(clk) then
			var := x"0000_0000";
			o <= x"8000_0000" or var;
		end if;
	end process;
end a;