aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1054/simple01.vhdl
blob: 28495def5facd0a231a3b49c357da18803ce05a8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity simple01 is
  port (a, b, c : in std_logic;
        z : out std_logic);
end simple01;

architecture behav of simple01 is
begin
  process(A, B, C)
    variable temp : std_logic;
  begin
    if is_x (a) then
      z <= b;
    else
      z <= b or c;
    end if;
  end process;
end behav;