aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1047/top.vhdl
blob: dcdb44524dd9a687e237c92afc6806d0d7a92f7b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
library ieee;
use ieee.std_logic_1164.ALL;

entity child is
  port (
    A:  out std_logic
  );
end entity child;

architecture rtl of child is
begin
  A <= '0';
end architecture rtl;


library ieee;
use ieee.std_logic_1164.ALL;

entity top is
  port (
    A:  out std_logic_vector(1 downto 0)
  );
end entity top;

architecture rtl of top is
  component child is
    port (
      A:  out std_logic
    );
  end component child;

  constant N:   integer := 2;
begin
  CHILDGEN: for i in 0 to N-1 generate
  begin
    CHILDINST : child
      port map(
        A => A(i)
      );
  end generate CHILDGEN;
end architecture rtl;